=> Bootstrap dependency digest>=20010302: found digest-20111104 ===> Installing for verilog-current-20090923 => Generating pre-install file lists for dir in ivlpp vvp vpi libveriuser cadpli tgt-null tgt-stub tgt-vvp tgt-vhdl driver; do (cd $dir ; /usr/bin/gnumake all); done gnumake[1]: Nothing to be done for `all'. gnumake[1]: Nothing to be done for `all'. gnumake[1]: Nothing to be done for `all'. gnumake[1]: Nothing to be done for `all'. gnumake[1]: Nothing to be done for `all'. gnumake[1]: Nothing to be done for `all'. gnumake[1]: Nothing to be done for `all'. gnumake[1]: Nothing to be done for `all'. gnumake[1]: Nothing to be done for `all'. gnumake[1]: Nothing to be done for `all'. ./mkinstalldirs "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/bin" \ "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/include/iverilog" \ "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl" \ "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/include" \ "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/man" \ "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/man/man1" mkdir /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/bin mkdir /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/include mkdir /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/include/iverilog mkdir /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib mkdir /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl mkdir /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/include mkdir /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/man mkdir /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/man/man1 /usr/bin/install -c -s -o kristerw -g staff -m 755 ./ivl "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/ivl" /usr/bin/install -c -o kristerw -g staff -m 644 ./constants.vams "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/include/constants.vams" /usr/bin/install -c -o kristerw -g staff -m 644 ./disciplines.vams "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/include/disciplines.vams" /usr/bin/install -c -o kristerw -g staff -m 644 ./ivl_target.h "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/include/iverilog/ivl_target.h" /usr/bin/install -c -o kristerw -g staff -m 644 _pli_types.h "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/include/iverilog/_pli_types.h" /usr/bin/install -c -o kristerw -g staff -m 644 ./vpi_user.h "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/include/iverilog/vpi_user.h" /usr/bin/install -c -o kristerw -g staff -m 644 ./acc_user.h "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/include/iverilog/acc_user.h" /usr/bin/install -c -o kristerw -g staff -m 644 ./veriuser.h "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/include/iverilog/veriuser.h" /usr/bin/install -c -o kristerw -g staff -m 755 ./iverilog-vpi "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/bin/iverilog-vpi" /usr/bin/install -c -o kristerw -g staff -m 644 ./iverilog-vpi.man "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/man/man1/iverilog-vpi.1" for dir in ivlpp vvp vpi libveriuser cadpli tgt-null tgt-stub tgt-vvp tgt-vhdl driver; do (cd $dir ; /usr/bin/gnumake install); done ./../mkinstalldirs "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl" /usr/bin/install -c -s -o kristerw -g staff -m 755 ./ivlpp "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/ivlpp" ./../mkinstalldirs "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/bin" "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib" "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/man/man1" /usr/bin/install -c -s -o kristerw -g staff -m 755 ./vvp "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/bin/vvp" /usr/bin/install -c -o kristerw -g staff -m 644 libvpi.a "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/libvpi.a" /usr/bin/install -c -o kristerw -g staff -m 644 ./vvp.man "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/man/man1/vvp.1" ./../mkinstalldirs "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib" "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl" /usr/bin/install -c -s -o kristerw -g staff -m 755 ./system.vpi "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/system.vpi" /Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/bin/strip: symbols referenced by indirect symbol table entries that can't be stripped in: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/system.vpi _vpi_control _vpi_fopen _vpi_free_object _vpi_get _vpi_get_delays _vpi_get_file _vpi_get_str _vpi_get_time _vpi_get_userdata _vpi_get_value _vpi_get_vlog_info _vpi_handle _vpi_handle_by_index _vpi_handle_by_name _vpi_iterate _vpi_mcd_close _vpi_mcd_flush _vpi_mcd_open _vpi_mcd_printf _vpi_mcd_vprintf _vpi_printf _vpi_put_delays _vpi_put_userdata _vpi_put_value _vpi_register_cb _vpi_register_systf _vpi_remove_cb _vpi_scan _vpip_format_strength _vpip_set_return_value _rtl_dist_chi_square _rtl_dist_normal _rtl_dist_t _rtl_dist_uniform _destroy_readmem_lexor _readmem_create_buffer _readmem_scan_buffer _readmem_scan_bytes _readmem_switch_to_buffer _readmemlex _readmemlex_destroy _readmempop_buffer_state _readmemrestart _sys_readmem_start_file _sdf_iopath_delays _sdf_select_instance _sys_vcd_register _sys_vcdoff_register _find_nexus_ident _is_escaped_id _nexus_ident_delete _set_nexus_ident _vcd_names_add _vcd_names_delete _vcd_names_search _vcd_names_sort _genrand _sgenrand _as_escaped _check_for_extra_args _get_filename _is_numeric_obj _is_string_obj _sys_func_module _timerec_to_time64 _sdf_create_buffer _sdf_flush_buffer _sdf_process_file _sdf_scan_buffer _sdf_scan_bytes _sdf_switch_to_buffer _sdflex _sdflex_destroy _sdfpop_buffer_state _sdfrestart _start_edge_id _stop_edge_id _sdfparse _strdup_sh _string_heap_delete _sys_lxt_register _lt_close _lt_emit_value_bit_string _lt_emit_value_double _lt_init _lt_set_clock_compress _lt_set_dumpoff _lt_set_dumpon _lt_set_initial_value _lt_set_no_interlace _lt_set_time64 _lt_set_timescale _lt_symbol_add _lt_symbol_alias _sys_lxt2_register _lxt2_wr_close _lxt2_wr_emit_value_bit_string _lxt2_wr_emit_value_double _lxt2_wr_emit_value_string _lxt2_wr_flush _lxt2_wr_flush_granule _lxt2_wr_init _lxt2_wr_set_break_size _lxt2_wr_set_compression_depth _lxt2_wr_set_dumpoff _lxt2_wr_set_dumpon _lxt2_wr_set_initial_value _lxt2_wr_set_partial_off _lxt2_wr_set_partial_on _lxt2_wr_set_time _lxt2_wr_set_time64 _lxt2_wr_set_timescale _lxt2_wr_symbol_add _lxt2_wr_symbol_alias _BZ2_bzclose _BZ2_bzdopen _BZ2_bzwrite _gzclose _gzdopen _gzflush _gzwrite ___assert_rtn ___divdi3 ___error ___maskrune ___moddi3 ___sprintf_chk ___stack_chk_fail ___strcat_chk ___strncat_chk ___strncpy_chk ___tolower _asctime _atexit _bsearch _calloc _clearerr _dup _exit _exp _fclose _feof _ferror _fflush _fgetc _fgets _fileno _fopen$UNIX2003 _fprintf _fputc _fread _free _fseek _fseeko _ftell _ftello _fwrite$UNIX2003 _getc _getcwd _getenv _isatty _localtime _log _malloc _memchr _memcmp _memcpy _memset _pow _qsort _realloc _round _snprintf _sscanf _stat$INODE64 _strcasecmp _strchr _strcmp _strcpy _strcspn _strdup _strerror$UNIX2003 _strlen _strncmp _strncpy _strrchr _strspn _strtod$UNIX2003 _strtok _strtoul _time _ungetc _unlink _vfprintf dyld_stub_binder __DefaultRuneLocale ___stack_chk_guard ___stderrp ___stdinp ___stdoutp install: child process failed: xcrun strip - /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/system.vpi gnumake[1]: *** [/usr/pkg/lib/ivl/system.vpi] Error 70 ./../mkinstalldirs "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib" /usr/bin/install -c -o kristerw -g staff -m 644 ./libveriuser.a "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/libveriuser.a" ./../mkinstalldirs "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl" /usr/bin/install -c -s -o kristerw -g staff -m 755 ./cadpli.vpl "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/cadpli.vpl" /Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/bin/strip: symbols referenced by indirect symbol table entries that can't be stripped in: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/cadpli.vpl _vpi_control _vpi_free_object _vpi_get _vpi_get_str _vpi_get_time _vpi_get_userdata _vpi_get_value _vpi_get_vlog_info _vpi_handle _vpi_handle_by_name _vpi_iterate _vpi_printf _vpi_put_userdata _vpi_put_value _vpi_register_cb _vpi_register_systf _vpi_scan _vpi_vprintf _vpip_format_strength ___acc_newstring _acc_fetch_fullname _acc_fetch_itfarg_int _acc_fetch_itfarg_str _acc_fetch_tfarg_str _acc_next _acc_object_in_typelist _acc_object_of_type _tf_getinstance _tf_gettimeprecision _tf_gettimeunit _tf_igetp _tf_igetrealp _tf_iputp _tf_iputrealp _tf_isetdelay _tf_isetrealdelay _tf_istrgetp _veriusertfs_register_table ___assert_rtn ___fixunsdfdi ___sprintf_chk ___stack_chk_fail ___tolower _calloc _dlerror _dlopen _dlsym _exit _fflush _fopen$UNIX2003 _fprintf _fputc _free _fwrite$UNIX2003 _getenv _malloc _perror _pow _realloc _setvbuf _snprintf _strchr _strcmp _strcpy _strlen _strncmp _strncpy _strtoul dyld_stub_binder ___stderrp ___stack_chk_guard ___stdoutp install: child process failed: xcrun strip - /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/cadpli.vpl gnumake[1]: *** [/usr/pkg/lib/ivl/cadpli.vpl] Error 70 ./../mkinstalldirs "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/bin" "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl" /usr/bin/install -c -s -o kristerw -g staff -m 755 ./null.tgt "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/null.tgt" /Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/bin/strip: symbols referenced by indirect symbol table entries that can't be stripped in: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/null.tgt _strcmp dyld_stub_binder install: child process failed: xcrun strip - /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/null.tgt gnumake[1]: *** [/usr/pkg/lib/ivl/null.tgt] Error 70 ./../mkinstalldirs "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl" /usr/bin/install -c -s -o kristerw -g staff -m 755 ./stub.tgt "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/stub.tgt" /Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/bin/strip: symbols referenced by indirect symbol table entries that can't be stripped in: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/stub.tgt _ivl_branch_terminal _ivl_const_bits _ivl_const_real _ivl_const_type _ivl_const_width _ivl_design_discipline _ivl_design_disciplines _ivl_design_flag _ivl_design_process _ivl_design_roots _ivl_discipline_name _ivl_event_any _ivl_event_basename _ivl_event_nany _ivl_event_neg _ivl_event_nneg _ivl_event_npos _ivl_event_pos _ivl_event_scope _ivl_expr_bits _ivl_expr_branch _ivl_expr_def _ivl_expr_dvalue _ivl_expr_file _ivl_expr_lineno _ivl_expr_name _ivl_expr_nature _ivl_expr_opcode _ivl_expr_oper1 _ivl_expr_oper2 _ivl_expr_oper3 _ivl_expr_parameter _ivl_expr_parm _ivl_expr_parms _ivl_expr_repeat _ivl_expr_signal _ivl_expr_signed _ivl_expr_string _ivl_expr_type _ivl_expr_value _ivl_expr_width _ivl_logic_attr_cnt _ivl_logic_attr_val _ivl_logic_basename _ivl_logic_delay _ivl_logic_drive0 _ivl_logic_drive1 _ivl_logic_pin _ivl_logic_pins _ivl_logic_scope _ivl_logic_type _ivl_logic_udp _ivl_logic_width _ivl_lpm_array _ivl_lpm_base _ivl_lpm_basename _ivl_lpm_clk _ivl_lpm_data _ivl_lpm_define _ivl_lpm_delay _ivl_lpm_enable _ivl_lpm_name _ivl_lpm_q _ivl_lpm_scope _ivl_lpm_select _ivl_lpm_selects _ivl_lpm_signed _ivl_lpm_size _ivl_lpm_string _ivl_lpm_type _ivl_lpm_width _ivl_lval_idx _ivl_lval_mux _ivl_lval_part_off _ivl_lval_sig _ivl_lval_width _ivl_nature_name _ivl_nexus_ptr _ivl_nexus_ptr_branch _ivl_nexus_ptr_con _ivl_nexus_ptr_drive0 _ivl_nexus_ptr_drive1 _ivl_nexus_ptr_log _ivl_nexus_ptr_lpm _ivl_nexus_ptr_pin _ivl_nexus_ptr_sig _ivl_nexus_ptr_switch _ivl_nexus_ptrs _ivl_parameter_basename _ivl_parameter_expr _ivl_path_condit _ivl_path_delay _ivl_path_is_condit _ivl_path_scope _ivl_path_source _ivl_path_source_negedge _ivl_path_source_posedge _ivl_process_analog _ivl_process_attr_cnt _ivl_process_attr_val _ivl_process_stmt _ivl_process_type _ivl_scope_attr_cnt _ivl_scope_attr_val _ivl_scope_children _ivl_scope_def _ivl_scope_event _ivl_scope_events _ivl_scope_is_auto _ivl_scope_is_cell _ivl_scope_log _ivl_scope_logs _ivl_scope_lpm _ivl_scope_lpms _ivl_scope_name _ivl_scope_param _ivl_scope_params _ivl_scope_sig _ivl_scope_sigs _ivl_scope_switch _ivl_scope_switches _ivl_scope_time_precision _ivl_scope_time_units _ivl_scope_tname _ivl_scope_type _ivl_signal_array_base _ivl_signal_array_count _ivl_signal_attr_cnt _ivl_signal_attr_val _ivl_signal_basename _ivl_signal_data_type _ivl_signal_dimensions _ivl_signal_discipline _ivl_signal_local _ivl_signal_lsb _ivl_signal_msb _ivl_signal_name _ivl_signal_nex _ivl_signal_npath _ivl_signal_path _ivl_signal_port _ivl_signal_signed _ivl_signal_type _ivl_signal_width _ivl_statement_type _ivl_stmt_block_count _ivl_stmt_block_scope _ivl_stmt_block_stmt _ivl_stmt_case_count _ivl_stmt_case_expr _ivl_stmt_case_stmt _ivl_stmt_cond_expr _ivl_stmt_cond_false _ivl_stmt_cond_true _ivl_stmt_delay_expr _ivl_stmt_delay_val _ivl_stmt_events _ivl_stmt_file _ivl_stmt_lexp _ivl_stmt_lineno _ivl_stmt_lval _ivl_stmt_lvals _ivl_stmt_lwidth _ivl_stmt_name _ivl_stmt_nevent _ivl_stmt_parm _ivl_stmt_parm_count _ivl_stmt_rval _ivl_stmt_sub_stmt _ivl_switch_a _ivl_switch_b _ivl_switch_basename _ivl_switch_enable _ivl_switch_island _ivl_switch_offset _ivl_switch_part _ivl_switch_scope _ivl_switch_type _ivl_switch_width _ivl_udp_init _ivl_udp_name _ivl_udp_nin _ivl_udp_row _ivl_udp_rows _ivl_udp_sequ _data_type_string _discipline_of_nexus _type_of_nexus _width_of_nexus _show_expression _show_unary_expression _show_statement _show_stmt_wait _show_switch ___assert_rtn ___stack_chk_fail _calloc _fclose _fopen$UNIX2003 _fprintf _fputc _free _fwrite$UNIX2003 _perror _snprintf _strcmp dyld_stub_binder ___stack_chk_guard install: child process failed: xcrun strip - /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/stub.tgt gnumake[1]: *** [/usr/pkg/lib/ivl/stub.tgt] Error 70 ./../mkinstalldirs "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl" /usr/bin/install -c -s -o kristerw -g staff -m 755 ./vvp.tgt "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/vvp.tgt" /Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/bin/strip: symbols referenced by indirect symbol table entries that can't be stripped in: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/vvp.tgt _ivl_const_bits _ivl_const_delay _ivl_const_real _ivl_const_type _ivl_const_width _ivl_design_flag _ivl_design_process _ivl_design_roots _ivl_design_time_precision _ivl_event_any _ivl_event_basename _ivl_event_nany _ivl_event_neg _ivl_event_nneg _ivl_event_npos _ivl_event_pos _ivl_expr_bits _ivl_expr_def _ivl_expr_delay_val _ivl_expr_dvalue _ivl_expr_event _ivl_expr_file _ivl_expr_lineno _ivl_expr_name _ivl_expr_opcode _ivl_expr_oper1 _ivl_expr_oper2 _ivl_expr_oper3 _ivl_expr_parameter _ivl_expr_parm _ivl_expr_parms _ivl_expr_repeat _ivl_expr_scope _ivl_expr_signal _ivl_expr_signed _ivl_expr_string _ivl_expr_type _ivl_expr_uvalue _ivl_expr_value _ivl_expr_width _ivl_file_table_index _ivl_file_table_item _ivl_file_table_size _ivl_island_flag_set _ivl_island_flag_test _ivl_logic_delay _ivl_logic_pin _ivl_logic_pins _ivl_logic_type _ivl_logic_udp _ivl_logic_width _ivl_lpm_array _ivl_lpm_aset_value _ivl_lpm_base _ivl_lpm_basename _ivl_lpm_clk _ivl_lpm_data _ivl_lpm_define _ivl_lpm_delay _ivl_lpm_enable _ivl_lpm_file _ivl_lpm_lineno _ivl_lpm_q _ivl_lpm_scope _ivl_lpm_select _ivl_lpm_selects _ivl_lpm_signed _ivl_lpm_size _ivl_lpm_string _ivl_lpm_trigger _ivl_lpm_type _ivl_lpm_width _ivl_lval_idx _ivl_lval_mux _ivl_lval_part_off _ivl_lval_sig _ivl_lval_width _ivl_nexus_get_private _ivl_nexus_ptr _ivl_nexus_ptr_con _ivl_nexus_ptr_drive0 _ivl_nexus_ptr_drive1 _ivl_nexus_ptr_log _ivl_nexus_ptr_lpm _ivl_nexus_ptr_pin _ivl_nexus_ptr_sig _ivl_nexus_ptr_switch _ivl_nexus_ptrs _ivl_nexus_set_private _ivl_parameter_basename _ivl_parameter_expr _ivl_parameter_file _ivl_parameter_lineno _ivl_path_condit _ivl_path_delay _ivl_path_is_condit _ivl_path_scope _ivl_path_source _ivl_path_source_negedge _ivl_path_source_posedge _ivl_process_attr_cnt _ivl_process_attr_val _ivl_process_scope _ivl_process_stmt _ivl_process_type _ivl_scope_basename _ivl_scope_children _ivl_scope_def _ivl_scope_def_file _ivl_scope_def_lineno _ivl_scope_event _ivl_scope_events _ivl_scope_file _ivl_scope_is_auto _ivl_scope_is_cell _ivl_scope_lineno _ivl_scope_log _ivl_scope_logs _ivl_scope_lpm _ivl_scope_lpms _ivl_scope_name _ivl_scope_param _ivl_scope_params _ivl_scope_port _ivl_scope_ports _ivl_scope_sig _ivl_scope_sigs _ivl_scope_switch _ivl_scope_switches _ivl_scope_time_precision _ivl_scope_time_units _ivl_scope_tname _ivl_scope_type _ivl_signal_array_addr_swapped _ivl_signal_array_base _ivl_signal_array_count _ivl_signal_basename _ivl_signal_data_type _ivl_signal_dimensions _ivl_signal_integer _ivl_signal_local _ivl_signal_lsb _ivl_signal_msb _ivl_signal_nex _ivl_signal_npath _ivl_signal_path _ivl_signal_port _ivl_signal_signed _ivl_signal_type _ivl_signal_width _ivl_statement_type _ivl_stmt_block_count _ivl_stmt_block_scope _ivl_stmt_block_stmt _ivl_stmt_call _ivl_stmt_case_count _ivl_stmt_case_expr _ivl_stmt_case_stmt _ivl_stmt_cond_expr _ivl_stmt_cond_false _ivl_stmt_cond_true _ivl_stmt_delay_expr _ivl_stmt_delay_val _ivl_stmt_events _ivl_stmt_file _ivl_stmt_lineno _ivl_stmt_lval _ivl_stmt_lvals _ivl_stmt_lwidth _ivl_stmt_name _ivl_stmt_nevent _ivl_stmt_parm _ivl_stmt_parm_count _ivl_stmt_rval _ivl_stmt_sub_stmt _ivl_switch_a _ivl_switch_b _ivl_switch_enable _ivl_switch_file _ivl_switch_island _ivl_switch_lineno _ivl_switch_offset _ivl_switch_part _ivl_switch_type _ivl_switch_width _ivl_udp_init _ivl_udp_name _ivl_udp_nin _ivl_udp_row _ivl_udp_rows _ivl_udp_sequ _draw_lpm_mux _EOC_cleanup_drivers _draw_net_input _draw_net_input_x _draw_switch_in_scope _draw_ufunc_expr _draw_ufunc_real _draw_vpi_func_call _draw_vpi_rfunc_call _draw_vpi_task_call _draw_eval_bool64 _draw_eval_expr _draw_eval_expr_into_integer _draw_eval_expr_wid _get_number_immediate _get_number_immediate64 _number_is_immediate _number_is_unknown _pad_expr_in_place _allocate_word _clr_word _draw_eval_real _cleanup_modpath _draw_modpath _allocate_vector _allocate_vector_exp _clear_expression_lookaside _clr_vector _save_expression_lookaside _save_signal_lookaside _draw_func_definition _draw_task_definition _can_elide_bufz _data_type_of_nexus _draw_Cr_to_string _draw_input_from_net _draw_scope _ivl_logic_pin_ptr _signal_of_nexus _vvp_mangle_id _vvp_mangle_name _width_of_nexus ___assert_rtn ___fixunsdfdi ___sprintf_chk ___stack_chk_fail ___strncpy_chk _calloc _exit _fchmod$UNIX2003 _fclose _fileno _floor _fopen$UNIX2003 _fprintf _fputc _fputs$UNIX2003 _free _frexp _fwrite$UNIX2003 _ldexp _malloc _perror _realloc _snprintf _strchr _strcmp _strcpy _strdup _strlen _strncpy _strpbrk dyld_stub_binder ___stack_chk_guard ___stderrp install: child process failed: xcrun strip - /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/vvp.tgt gnumake[1]: *** [/usr/pkg/lib/ivl/vvp.tgt] Error 70 ./../mkinstalldirs "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl" /usr/bin/install -c -s -o kristerw -g staff -m 755 ./vhdl.tgt "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/vhdl.tgt" /Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/bin/strip: symbols referenced by indirect symbol table entries that can't be stripped in: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/vhdl.tgt _ivl_const_bits _ivl_const_real _ivl_const_signed _ivl_const_type _ivl_const_width _ivl_design_flag _ivl_design_process _ivl_design_roots _ivl_event_any _ivl_event_nany _ivl_event_neg _ivl_event_nneg _ivl_event_npos _ivl_event_pos _ivl_expr_bits _ivl_expr_def _ivl_expr_delay_val _ivl_expr_file _ivl_expr_lineno _ivl_expr_name _ivl_expr_opcode _ivl_expr_oper1 _ivl_expr_oper2 _ivl_expr_oper3 _ivl_expr_parm _ivl_expr_parms _ivl_expr_repeat _ivl_expr_signal _ivl_expr_signed _ivl_expr_string _ivl_expr_type _ivl_expr_uvalue _ivl_expr_width _ivl_logic_basename _ivl_logic_delay _ivl_logic_pin _ivl_logic_pins _ivl_logic_scope _ivl_logic_type _ivl_logic_udp _ivl_logic_width _ivl_lpm_array _ivl_lpm_base _ivl_lpm_basename _ivl_lpm_data _ivl_lpm_define _ivl_lpm_name _ivl_lpm_q _ivl_lpm_scope _ivl_lpm_select _ivl_lpm_selects _ivl_lpm_signed _ivl_lpm_size _ivl_lpm_type _ivl_lpm_width _ivl_lval_idx _ivl_lval_part_off _ivl_lval_sig _ivl_lval_width _ivl_nexus_get_private _ivl_nexus_ptr _ivl_nexus_ptr_con _ivl_nexus_ptr_log _ivl_nexus_ptr_lpm _ivl_nexus_ptr_pin _ivl_nexus_ptr_sig _ivl_nexus_ptrs _ivl_nexus_set_private _ivl_parameter_basename _ivl_parameter_expr _ivl_process_file _ivl_process_lineno _ivl_process_scope _ivl_process_stmt _ivl_process_type _ivl_scope_basename _ivl_scope_children _ivl_scope_def _ivl_scope_def_file _ivl_scope_def_lineno _ivl_scope_file _ivl_scope_lineno _ivl_scope_log _ivl_scope_logs _ivl_scope_lpm _ivl_scope_lpms _ivl_scope_name _ivl_scope_param _ivl_scope_params _ivl_scope_parent _ivl_scope_sig _ivl_scope_sigs _ivl_scope_time_precision _ivl_scope_time_units _ivl_scope_tname _ivl_scope_type _ivl_signal_array_base _ivl_signal_array_count _ivl_signal_basename _ivl_signal_dimensions _ivl_signal_file _ivl_signal_lineno _ivl_signal_local _ivl_signal_nex _ivl_signal_port _ivl_signal_signed _ivl_signal_type _ivl_signal_width _ivl_statement_type _ivl_stmt_block_count _ivl_stmt_block_scope _ivl_stmt_block_stmt _ivl_stmt_call _ivl_stmt_case_count _ivl_stmt_case_expr _ivl_stmt_case_stmt _ivl_stmt_cond_expr _ivl_stmt_cond_false _ivl_stmt_cond_true _ivl_stmt_delay_expr _ivl_stmt_delay_val _ivl_stmt_events _ivl_stmt_file _ivl_stmt_lineno _ivl_stmt_lval _ivl_stmt_lvals _ivl_stmt_name _ivl_stmt_nevent _ivl_stmt_parm _ivl_stmt_parm_count _ivl_stmt_rval _ivl_stmt_sub_stmt _ivl_udp_name _ivl_udp_nin _ivl_udp_row _ivl_udp_rows _ivl_udp_sequ __Z15get_vhdl_designv __Z5errorPKcz __Z9debug_msgPKcz __Z11find_entityP11ivl_scope_s __Z11find_entityRKSs __Z13rename_signalP12ivl_signal_sRKSs __Z15remember_entityP11vhdl_entityP11ivl_scope_s __Z15remember_signalP12ivl_signal_sP10vhdl_scope __Z17emit_all_entitiesRSoi __Z17find_signal_namedRKSsPK10vhdl_scope __Z17get_active_entityv __Z17set_active_entityP11vhdl_entity __Z18get_renamed_signalP12ivl_signal_s __Z18seen_signal_beforeP12ivl_signal_s __Z20seen_this_scope_typeP11ivl_scope_s __Z21find_scope_for_signalP12ivl_signal_s __Z21free_all_vhdl_objectsv __Z25is_default_scope_instanceP11ivl_scope_s __ZN9__gnu_cxx13new_allocatorISt4pairIKSsSsEE9constructEPS3_RKS3_ __ZNSt3mapIP12ivl_signal_s13signal_defn_tSt4lessIS1_ESaISt4pairIKS1_S2_EEEixERS6_ __ZNSt3mapISsSsSt4lessISsESaISt4pairIKSsSsEEEixERS3_ __ZNSt8_Rb_treeIP11ivl_scope_sS1_St9_IdentityIS1_ESt4lessIS1_ESaIS1_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS1_E __ZNSt8_Rb_treeIP11ivl_scope_sS1_St9_IdentityIS1_ESt4lessIS1_ESaIS1_EE16_M_insert_uniqueERKS1_ __ZNSt8_Rb_treeIP11ivl_scope_sS1_St9_IdentityIS1_ESt4lessIS1_ESaIS1_EE7_S_leftEPSt18_Rb_tree_node_base __ZNSt8_Rb_treeIP11ivl_scope_sS1_St9_IdentityIS1_ESt4lessIS1_ESaIS1_EE8_M_eraseEPSt13_Rb_tree_nodeIS1_E __ZNSt8_Rb_treeIP12ivl_signal_sSt4pairIKS1_13signal_defn_tESt10_Select1stIS5_ESt4lessIS1_ESaIS5_EE14_M_create_nodeERKS5_ __ZNSt8_Rb_treeIP12ivl_signal_sSt4pairIKS1_13signal_defn_tESt10_Select1stIS5_ESt4lessIS1_ESaIS5_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS5_E __ZNSt8_Rb_treeIP12ivl_signal_sSt4pairIKS1_13signal_defn_tESt10_Select1stIS5_ESt4lessIS1_ESaIS5_EE16_M_insert_uniqueERKS5_ __ZNSt8_Rb_treeIP12ivl_signal_sSt4pairIKS1_13signal_defn_tESt10_Select1stIS5_ESt4lessIS1_ESaIS5_EE16_M_insert_uniqueESt17_Rb_tree_iteratorIS5_ERKS5_ __ZNSt8_Rb_treeIP12ivl_signal_sSt4pairIKS1_13signal_defn_tESt10_Select1stIS5_ESt4lessIS1_ESaIS5_EE7_S_leftEPSt18_Rb_tree_node_base __ZNSt8_Rb_treeIP12ivl_signal_sSt4pairIKS1_13signal_defn_tESt10_Select1stIS5_ESt4lessIS1_ESaIS5_EE8_M_eraseEPSt13_Rb_tree_nodeIS5_E __ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE14_M_create_nodeERKS2_ __ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS2_E __ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE16_M_insert_uniqueERKS2_ __ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE16_M_insert_uniqueESt17_Rb_tree_iteratorIS2_ERKS2_ __ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE7_S_leftEPSt18_Rb_tree_node_base __ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EE8_M_eraseEPSt13_Rb_tree_nodeIS2_E __ZNSt8_Rb_treeISsSt4pairIKSsSsESt10_Select1stIS2_ESt4lessISsESaIS2_EED1Ev __Z10blank_lineRSoi __Z6indenti __Z7newlineRSoi __Z9nl_stringi __ZN12vhdl_element11set_commentESs __ZN12vhdl_element15total_allocatedEv __ZN12vhdl_element16free_all_objectsEv __ZN12vhdl_elementdlEPv __ZN12vhdl_elementnwEm __ZNK12vhdl_element12emit_commentERSoib __ZNSt6vectorIP12vhdl_elementSaIS1_EE13_M_insert_auxEN9__gnu_cxx17__normal_iteratorIPS1_S3_EERKS1_ __ZSt6__findIN9__gnu_cxx17__normal_iteratorIPP12vhdl_elementSt6vectorIS3_SaIS3_EEEES3_ET_S9_S9_RKT0_St26random_access_iterator_tag __ZN9vhdl_type16std_logic_vectorEii __ZN9vhdl_type4lineEv __ZN9vhdl_type4timeEv __ZN9vhdl_type6stringEv __ZN9vhdl_type7booleanEv __ZN9vhdl_type7integerEv __ZN9vhdl_type7nsignedEii __ZN9vhdl_type8array_ofEPS_RSsii __ZN9vhdl_type8type_forEibi __ZN9vhdl_type9nunsignedEii __ZN9vhdl_type9std_logicEv __ZN9vhdl_typeC1ERKS_ __ZN9vhdl_typeC2ERKS_ __ZN9vhdl_typeD1Ev __ZNK9vhdl_type10get_stringEv __ZNK9vhdl_type15get_decl_stringEv __ZNK9vhdl_type20get_type_decl_stringEv __ZNK9vhdl_type8get_baseEv __Z10scale_timePK11vhdl_entityy __Z13emit_childrenI13vhdl_seq_stmtEvRSoRKSt4listIPT_SaIS4_EEiPKcb __Z13emit_childrenI14vhdl_conc_stmtEvRSoRKSt4listIPT_SaIS4_EEiPKcb __Z13emit_childrenI9vhdl_declEvRSoRKSt4listIPT_SaIS4_EEiPKcb __ZN10vhdl_scope16add_forward_declEP9vhdl_decl __ZN10vhdl_scope16set_initializingEb __ZN10vhdl_scope8add_declEP9vhdl_decl __ZN10vhdl_scopeC1Ev __ZN10vhdl_scopeD1Ev __ZN11vhdl_entity14set_time_unitsEii __ZN11vhdl_entityC1ERKSsP9vhdl_archi __ZN11vhdl_entityC2ERKSsP9vhdl_archi __ZN12vhdl_if_stmt9add_elsifEP9vhdl_expr __ZN12vhdl_if_stmtC1EP9vhdl_expr __ZN12vhdl_if_stmtC2EP9vhdl_expr __ZN12vhdl_process15add_sensitivityERKSs __ZN12vhdl_processD0Ev __ZN12vhdl_processD2Ev __ZN12vhdl_var_ref9set_sliceEP9vhdl_expri __ZN13vhdl_functionC1EPKcP9vhdl_type __ZN13vhdl_functionC2EPKcP9vhdl_type __ZN13vhdl_functionD0Ev __ZN13vhdl_functionD1Ev __ZN14stmt_container15move_stmts_fromEPS_ __ZN14stmt_container8add_stmtEP13vhdl_seq_stmt __ZN14stmt_containerD1Ev __ZN14vhdl_comp_inst8map_portERKSsP9vhdl_expr __ZN14vhdl_comp_instC1EPKcS1_ __ZN14vhdl_comp_instC2EPKcS1_ __ZN14vhdl_expr_list8add_exprEP9vhdl_expr __ZN15vhdl_binop_expr8add_exprEP9vhdl_expr __ZN15vhdl_binop_exprC1EP9vhdl_expr12vhdl_binop_tS1_P9vhdl_type __ZN15vhdl_binop_exprC2EP9vhdl_expr12vhdl_binop_tS1_P9vhdl_type __ZN15vhdl_const_bitsC1EPKcibb __ZN15vhdl_const_bitsC2EPKcibb __ZN17vhdl_cassign_stmt13add_conditionEP9vhdl_exprS1_ __ZN18vhdl_bit_spec_expr7add_bitEiP9vhdl_expr __ZN19vhdl_component_decl18component_decl_forEP11vhdl_entity __ZN19vhdl_component_declC2EPKc __ZN21vhdl_with_select_stmt13add_conditionEP9vhdl_exprS1_S1_ __ZN9vhdl_arch8add_stmtEP12vhdl_process __ZN9vhdl_arch8add_stmtEP14vhdl_conc_stmt __ZN9vhdl_archD2Ev __ZN9vhdl_decl11set_initialEP9vhdl_expr __ZN9vhdl_declD2Ev __ZN9vhdl_exprD2Ev __ZNK10vhdl_scope10get_parentEv __ZNK10vhdl_scope13have_declaredERKSs __ZNK10vhdl_scope13name_collidesERKSs __ZNK10vhdl_scope16contained_withinEPKS_ __ZNK10vhdl_scope8get_declERKSs __ZNK14vhdl_expr_list4emitERSoi __ZNK9vhdl_decl8get_typeEv __ZNK9vhdl_decl8make_refEv __ZNSt4listI10port_map_tSaIS0_EE14_M_create_nodeERKS0_ __ZNSt4listIP9vhdl_declSaIS1_EE6insertISt20_List_const_iteratorIS1_EEEvSt14_List_iteratorIS1_ET_S9_ __ZSt9__find_ifIN9__gnu_cxx17__normal_iteratorIPKcSsEEPFbcEET_S7_S7_T0_St26random_access_iterator_tag __Z10draw_nexusP11ivl_nexus_s __Z10draw_scopeP11ivl_scope_sPv __Z12readable_refP10vhdl_scopeP11ivl_nexus_s __Z14make_safe_nameP12ivl_signal_s __Z16nexus_to_var_refP10vhdl_scopeP11ivl_nexus_s __ZN13scope_nexus_tC1ERKS_ __ZN14vhdl_port_declC2EPKcP9vhdl_type16vhdl_port_mode_t __ZN14vhdl_type_declC1ERKSsPK9vhdl_type __ZN15vhdl_param_declC2EPKcP9vhdl_type __ZN16vhdl_signal_declC1ERKSsPK9vhdl_type __ZN18vhdl_forward_fdeclC2EPK13vhdl_function __ZN9vhdl_archC2ERKSsS1_ __ZNSt4listI13scope_nexus_tSaIS0_EE14_M_create_nodeERKS0_ __ZNSt4listIP12ivl_signal_sSaIS1_EEC2ERKS3_ __ZStplIcSt11char_traitsIcESaIcEESbIT_T0_T1_EPKS3_RKS6_ __ZN12vhdl_processC2EPKc __Z10draw_whileP15vhdl_proceduralP14stmt_containerP15ivl_statement_s __Z11draw_casezxP15vhdl_proceduralP14stmt_containerP15ivl_statement_sb __Z11draw_repeatP15vhdl_proceduralP14stmt_containerP15ivl_statement_s __Z12draw_foreverP15vhdl_proceduralP14stmt_containerP15ivl_statement_s __Z15make_assignmentP15vhdl_proceduralP14stmt_containerP15ivl_statement_sbRN9vhdl_decl13assign_type_tE __Z16prune_wait_for_0P14stmt_container __Z9draw_stmtP15vhdl_proceduralP14stmt_containerP15ivl_statement_sb __ZN10vhdl_fcallC2EPKcP9vhdl_type __ZN15vhdl_pcall_stmtC2EPKc __ZN16vhdl_assert_stmtC2EPKc __ZNSt4listISsSaISsEE14_M_create_nodeERKSs __ZNSt8_Rb_treeIP11ivl_nexus_sS1_St9_IdentityIS1_ESt4lessIS1_ESaIS1_EE15_M_destroy_nodeEPSt13_Rb_tree_nodeIS1_E __ZNSt8_Rb_treeIP11ivl_nexus_sS1_St9_IdentityIS1_ESt4lessIS1_ESaIS1_EE16_M_insert_uniqueERKS1_ __ZNSt8_Rb_treeIP11ivl_nexus_sS1_St9_IdentityIS1_ESt4lessIS1_ESaIS1_EE16_M_insert_uniqueESt23_Rb_tree_const_iteratorIS1_ERKS1_ __ZNSt8_Rb_treeIP11ivl_nexus_sS1_St9_IdentityIS1_ESt4lessIS1_ESaIS1_EE7_S_leftEPSt18_Rb_tree_node_base __ZNSt8_Rb_treeIP11ivl_nexus_sS1_St9_IdentityIS1_ESt4lessIS1_ESaIS1_EE8_M_eraseEPSt13_Rb_tree_nodeIS1_E __ZSt14set_differenceISt23_Rb_tree_const_iteratorIP11ivl_nexus_sES3_St15insert_iteratorISt3setIS2_St4lessIS2_ESaIS2_EEEET1_T_SC_T0_SD_SB_ __Z14translate_exprP10ivl_expr_s __Z15translate_sfuncP10ivl_expr_s __Z19translate_time_exprP10ivl_expr_s __Z20translate_sfunc_timeP10ivl_expr_s __Z21translate_sfunc_stimeP10ivl_expr_s __Z22translate_sfunc_randomP10ivl_expr_s __Z23translate_sfunc_simtimeP10ivl_expr_s __ZN17vhdl_const_stringC2EPKc __Z8draw_lpmP9vhdl_archP9ivl_lpm_s __Z18draw_stask_displayP15vhdl_proceduralP14stmt_containerP15ivl_statement_sb __Z24require_support_function18support_function_t __ZN13vhdl_functionD2Ev __ZN16support_function13function_nameE18support_function_t __ZN16support_function13function_typeE18support_function_t __ZNK16support_function12emit_ternaryERSoi __ZNK16support_function14emit_reductionERSoiPKcc __ZN9vhdl_expr4castEPK9vhdl_type __ZN9vhdl_expr9to_vectorE16vhdl_type_name_ti __ZNK15vhdl_const_bits11bits_to_intEv __Z10draw_logicP9vhdl_archP15ivl_net_logic_s __ZN12vhdl_var_refC1ERKS_ __ZN13vhdl_var_declC1ERKSsPK9vhdl_type __ZNKSs3endEv __ZNKSs4findEPKcm __ZNKSs4findEcm __ZNKSs4rendEv __ZNKSs4sizeEv __ZNKSs5beginEv __ZNKSs5c_strEv __ZNKSs5emptyEv __ZNKSs6lengthEv __ZNKSs6rbeginEv __ZNKSs7compareEPKc __ZNKSs7compareERKSs __ZNKSsixEm __ZNKSt19basic_ostringstreamIcSt11char_traitsIcESaIcEE3strEv __ZNSaIcEC1Ev __ZNSaIcED1Ev __ZNSolsEPFRSoS_E __ZNSolsEPFRSt8ios_baseS0_E __ZNSolsEi __ZNSolsEj __ZNSolsEx __ZNSolsEy __ZNSs5eraseEmm __ZNSs6appendEPKc __ZNSs6appendEPKcm __ZNSs6appendERKSs __ZNSs6rbeginEv __ZNSs6resizeEmc __ZNSs7replaceEmmPKc __ZNSs7reserveEm __ZNSs9push_backEc __ZNSsC1EPKcRKSaIcE __ZNSsC1ERKSs __ZNSsC1EmcRKSaIcE __ZNSsC1Ev __ZNSsD1Ev __ZNSsaSEPKc __ZNSsaSERKSs __ZNSsixEm __ZNSspLEPKc __ZNSspLERKSs __ZNSt14basic_ofstreamIcSt11char_traitsIcEEC1EPKcSt13_Ios_Openmode __ZNSt14basic_ofstreamIcSt11char_traitsIcEED1Ev __ZNSt15_List_node_base4hookEPS_ __ZNSt15_List_node_base6unhookEv __ZNSt15_List_node_base8transferEPS_S0_ __ZNSt19basic_ostringstreamIcSt11char_traitsIcESaIcEE3strERKSs __ZNSt19basic_ostringstreamIcSt11char_traitsIcESaIcEEC1ESt13_Ios_Openmode __ZNSt19basic_ostringstreamIcSt11char_traitsIcESaIcEED1Ev __ZNSt8ios_base4InitC1Ev __ZNSt8ios_base4InitD1Ev __ZSt17__throw_bad_allocv __ZSt18_Rb_tree_decrementPKSt18_Rb_tree_node_base __ZSt18_Rb_tree_decrementPSt18_Rb_tree_node_base __ZSt18_Rb_tree_incrementPKSt18_Rb_tree_node_base __ZSt18_Rb_tree_incrementPSt18_Rb_tree_node_base __ZSt20__throw_length_errorPKc __ZSt29_Rb_tree_insert_and_rebalancebPSt18_Rb_tree_node_baseS0_RS_ __ZSt9terminatev __ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_PKc __ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_c __ZStlsIcSt11char_traitsIcEERSt13basic_ostreamIT_T0_ES6_St5_Setw __ZStlsIcSt11char_traitsIcEERSt13basic_ostreamIT_T0_ES6_St8_SetfillIS3_E __ZStlsIcSt11char_traitsIcESaIcEERSt13basic_ostreamIT_T0_ES7_RKSbIS4_S5_T1_E __ZdlPv __Znwm ___cxa_begin_catch ___cxa_call_unexpected ___cxa_end_catch ___cxa_rethrow ___dynamic_cast __Unwind_Resume_or_Rethrow ___assert_rtn ___cxa_atexit _atoi _fwrite$UNIX2003 _memcpy _memmove _printf _putchar _strcasecmp _strcmp _strlen _vprintf dyld_stub_binder __ZSt4endlIcSt11char_traitsIcEERSt13basic_ostreamIT_T0_ES6_ ___gxx_personality_v0 ___stdoutp __ZSt4cerr __ZSt4cout __ZTISt9bad_alloc __DefaultRuneLocale install: child process failed: xcrun strip - /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/vhdl.tgt gnumake[1]: *** [/usr/pkg/lib/ivl/vhdl.tgt] Error 70 ./../mkinstalldirs "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/bin" "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/man/man1" /usr/bin/install -c -s -o kristerw -g staff -m 755 ./iverilog "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/bin/iverilog" /usr/bin/install -c -o kristerw -g staff -m 644 ./iverilog.man "/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/man/man1/iverilog.1" => Automatic manual page handling => Generating post-install file lists pkg_create: can't stat `/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/cadpli.vpl' pkg_create: can't stat `/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/null-s.conf' pkg_create: can't stat `/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/null.conf' pkg_create: can't stat `/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/null.tgt' pkg_create: can't stat `/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/stub-s.conf' pkg_create: can't stat `/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/stub.conf' pkg_create: can't stat `/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/stub.tgt' pkg_create: can't stat `/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/system.sft' pkg_create: can't stat `/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/system.vpi' pkg_create: can't stat `/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/v2005_math.sft' pkg_create: can't stat `/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/v2005_math.vpi' pkg_create: can't stat `/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/va_math.sft' pkg_create: can't stat `/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/va_math.vpi' pkg_create: can't stat `/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/vhdl-s.conf' pkg_create: can't stat `/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/vhdl.conf' pkg_create: can't stat `/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/vhdl.tgt' pkg_create: can't stat `/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/vvp-s.conf' pkg_create: can't stat `/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/vvp.conf' pkg_create: can't stat `/Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/vvp.tgt' => Checking file-check results for verilog-current-20090923 ERROR: ************************************************************ ERROR: The following files are in the PLIST but not in /usr/pkg: ERROR: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/cadpli.vpl ERROR: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/null-s.conf ERROR: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/null.conf ERROR: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/null.tgt ERROR: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/stub-s.conf ERROR: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/stub.conf ERROR: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/stub.tgt ERROR: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/system.sft ERROR: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/system.vpi ERROR: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/v2005_math.sft ERROR: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/v2005_math.vpi ERROR: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/va_math.sft ERROR: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/va_math.vpi ERROR: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/vhdl-s.conf ERROR: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/vhdl.conf ERROR: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/vhdl.tgt ERROR: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/vvp-s.conf ERROR: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/vvp.conf ERROR: /Users/kristerw/pbulk/scratch/cad/verilog-current/work/.destdir/usr/pkg/lib/ivl/vvp.tgt *** Error code 1 Stop. bmake: stopped in /Users/kristerw/pbulk/pkgsrc/cad/verilog-current *** Error code 1 Stop. bmake: stopped in /Users/kristerw/pbulk/pkgsrc/cad/verilog-current